Simulink HDL CODER

Genere el código HDL de los modelos SIMULINK y el código MATLAB.
Descargar ahora

Simulink HDL CODER Clasificación y resumen

Anuncio publicitario

  • Rating:
  • Licencia:
  • Shareware
  • Nombre del editor:
  • The MathWorks, Inc.
  • Sitio web del editor:
  • Sistemas operativos:
  • Windows XP/2000/98
  • Tamaño del archivo:
  • N/A

Simulink HDL CODER Etiquetas


Simulink HDL CODER Descripción

EDITAR por Simulink HDL CODER Genera un código verilog y el código VHDL de Bit-True, preciso de ciclo, preciso, preciso y preciso y de VHDL de los modelos SIMULINK, los gráficos de flujo estatal y el código MATLAB incorporado. El código HDL generado automáticamente es el objetivo independiente. Simulink HDL CODER Genera el código de Verilog que cumple con el código estándar IEEE 1364-2001 y el código VHDL que cumple con la norma IEEE 1076. Como resultado, puede verificar el código HDL generado automáticamente utilizando productos de verificación funcional populares, incluidos Cadence® Incisive®, Mentor Graphics® ModelsonIm® y Synopsys® VCS®. También puede asignar el código HDL generado automáticamente en matrices de compuerta programable (FPGA) o circuitos integrados específicos de la aplicación (ASIC) utilizando herramientas de síntesis populares, como ALTERA® QUARTUS® II, Cadence Encounter® RTL Compiler, Mentor Graphics® Precision ®, Synopsys Design Compiler®, Synplices® Synplify® y Xilinx® ISE ™. Simulink HDL CODER también genera bancos de prueba HDL que lo ayudan a verificar el código HDL generado con herramientas de simulación de HDL. Características clave Genera un código HDL sintetizable de los modelos SIMULINK y el código MATLAB ™ incorporado para las implementaciones de Datapath Genera un código HDL sintetizable de los gráficos de flujo estatal para máquinas de estado y control de la lógica de control de Finite-Moore Finite-Moore Genera un código VHDL que es IEEE 1076 compatible y el código de Verilog que es compatible con IEEE 1364-2001 Le permite crear modelos verdaderos y precisos de bits que coincidan con sus especificaciones de diseño de SIMULINK Le permite seleccionar de varias implementaciones arquitectónicas de HDL para bloques de uso común Le permite especificar el subsistema para la generación de código HDL Le permite reutilizar el código IP HDL existente (con EDA Simulator Link Products) Genera scripts de simulación y síntesis.


Simulink HDL CODER Software relacionado