Verilog a VHDL convertidor

Verilog a VHDL convertidor
Descargar ahora

Verilog a VHDL convertidor Clasificación y resumen

Anuncio publicitario

  • Rating:
  • Licencia:
  • GPL
  • Nombre del editor:
  • Kanai Lal Ghosh
  • Sistemas operativos:
  • Windows All
  • Tamaño del archivo:
  • 22.3 MB

Verilog a VHDL convertidor Etiquetas


Verilog a VHDL convertidor Descripción

Puede averiguar fácilmente qué hace Verilog a VHDL Converter al leer su nombre. Es una pequeña aplicación destinada a proporcionarle una forma conveniente de convertir el código de Verilog al lenguaje de programación de VHDL. Tanto Verilog como VHDL son hardware Descripción Idiomas que encuentran su uso práctico en los sistemas electrónicos y el modelado y la programación de circuitos. Verilog to VHDL Converter es un traductor HDL que puede resultar útil para cualquier diseñador electrónico, lo que permite la traducción precisa de los diseños de verilog a los estándares compatibles con VHDL. Algunos de ustedes seguramente prefieren la conversión manual a usar una herramienta dedicada y puede tener un punto allí, ya que el VHDL generado podría no funcionar correctamente, lo que requiere rectificaciones manuales adicionales que están destinadas a garantizar la compatibilidad del tipo de datos. La aplicación está construida en Java y es capaz de procesar varios archivos de verilog a la vez. Si los archivos de entrada están en orden aleatorio, debe usar la función 'Ordenar' antes de continuar. Hay comandos para crear listas de exclusión, generan una entidad o un componente exclusivamente (las correspondientes a una parte superior o módulo especificadas). VERILOG TO VHDL Converter solo se puede ejecutar utilizando la consola de comandos, pero esto no debería ser un inconveniente para aquellos que trabajan con idiomas como VeriLog y VHDL, lo suficientemente experimentado como para manejar su simple sintaxis. El paquete viene con un ejemplo para demostrar cómo se realiza la conversión. Un archivo de entrada de entrada de entrada pasa a través de una operación de preprocesamiento (el archivo RTL correspondiente se guarda localmente) y luego la aplicación procede a analizarla. Verilog a VHDL Converter es particularmente útil para los diseñadores que trabajan en un entorno que pueden manejar ambos idiomas. Alternativamente, se puede integrar en aplicaciones que solo son compatibles con VHDL. Revisado por MIHAELA CITAA, Última actualización el 4 de enero de 2014


Verilog a VHDL convertidor Software relacionado